Основные устройства цифровой техники: шифраторы, дешифраторы, мультиплексоры, демультиплексоры. Схемы, принцип работы. Шифраторы. Назначение. Принцип построения Назначение шифратора

Тема урока: Шифраторы и дешифраторы. Назначение, структура, применение

Общие сведения

Дешифраторы и шифраторы (также, как и элементы И, ИЛИ, НЕ, И-НЕ, ИЛИ-НЕ) являются комбинационными элементами: потенциалы на их выходах зависят от сиюминутного состояния входов, с их изменением меняется и ситуация на выходах; такие элементы не сохраняют предыдущее состояние после смены потенциалов на входах, т.е. не обладают памятью.

Дешифраторы могут быть полными и неполными. Полные дешифраторы реагируют на все входные коды, неполные – на коды, величина которых не превосходит некоторого заранее установленного значения. Выходы дешифраторов могут быть прямыми и ин-версными.

Шифраторы выпускаются приоритетными и не приоритетными. У приоритетного шифратора входы имеют разный приоритет. Возбужденный вход с большим приоритетом подавляет действие прежде возбужденного и устанавливает на выходах код, соответ-ствующий своему значению..

Знание материала, излагаемого в данной теме, дадут студенту возможность правильного выбора дешифраторов и шифраторов в зависимости от требуемой разрядности, необходимости использования управляющих входов этих элементов и категории выходов. Он научится организовывать структуры с большим числом входов на маловходовых элементах, а также осуществлять адресацию устройств кодами, разрядность которых превосходит разрядность используемых элементов.

Структура дешифратора.

Каждому цифровому коду на входах дешифратора (рис. 3.2, а, б) соответствует логиче-ская 1 (или логический 0) на соответствующем выходе. Иными словами, каждый входной код адресует соответствующий выход, который при этом возбуждается. Поэтому входы дешифратора часто называют адресными. Стоящие возле них цифры (1,2,4…) показывают как соотносятся веса разрядов поступающего двоичного числа.



Выходы дешифратора оцифрованы десятичными числами. Возбуждается тот выход, но-мер которого равен весу входного кода, разряды которого имеют обозначенные веса, т.е. дешифратор расшифровывает (дешифрирует) число, записанное в двоичном коде, представляя его логической 1 (логическим 0) на соответствующем выходе. Так, выход 5 возбуждается при входном коде 101, выход 6 – при входном коде 110 и т.д. Удобно представлять, что выход дешифратора отображает возбудивший его входной код.

Вход V является входом разрешения работы. Если он инверсный (обозначен кружком) , то для функционирования дешифратора на нем должен быть лог. 0 (достаточно этот вход соединить с общим проводом – “землей”). Прямой вход V через резистор соединяется с источником питания. Наличие входа разрешения расширяет функциональные возможности микросхемы.

Дешифратор выбирается так, чтобы число его входов соответствовало разрядности по-ступающих двоичных кодов. Число его выходов равно количеству различных кодов этой разрядности. Так как каждый разряд двоичного кода принимает два значения, то полное количество n-разрядных комбинаций (n-разрядных двоичных кодов) равно 2n. Такое число выходов имеет полный дешифратор.

Неполный дешифратор выбирается, когда некоторые значения адресных кодов не отра-жают физической реальности. Так, например, дешифратор, предназначенный для фикса-ции двоичных кодов десятичного разряда (в нем могут быть цифры 0,1,2…9), должен иметь четыре входа (910 отображается как 10012). Однако комбинации, большие 10012 отображают не цифру, а число, и поэтому (хотя и могут появляться на входах) не должны фиксироваться на выходах, число которых может не превышать десяти.

Основу структуры дешифратора могут составлять элементы И; выход каждого из них является выходом дешифратора. Если этот выход должен быть возбужден, то на входах элемента И должны собираться логические единицы. При этом разряды входного кода, в которых присутствуют логические единицы, должны поступать на входы элемента И не-посредственно, а нулевые разряды должны инвертироваться.

Дешифраторы и шифраторы существуют:

с прямыми входами

с инверсными входами

неполные

неприоритетные

приоритеные

Некоторые типы дешифраторов имеют инверсные выходы: на возбужденном (активизированном) выходе присутствует логиче-ский 0, в то время как на всех других – логические 1. Такие дешифраторы удобно использовать, когда активным сигналом для вы-бора (ввода в действие, инициализации) устройства с выхода дешифратора является логический 0.

Расширение разрядности дешифратора

Общий случай расширения разрядности дешифраторов иллюстрирует рис.3.4. Левый (по схеме) дешифратор постоянно активизирован логической 1 на входе V. Кодами на его ад-ресных входах может быть активизирован (выбран) любой из дешифраторов DC0…DC15. Выбор одного из выходов 0…15 каждого из них определяется кодом на объединенных входах 1, 2, 4, 8. Таким образом, любой из 256 (28) выходов может быть активизирован восьмиразрядным кодом, четыре разряда которого выбирают номер дешифратора, а четы-ре – номер его выхода.

Применение дешифраторов

Основное назначение дешифратора состоит в том, чтобы выбрать (адресо-вать, инициализировать) один объект из множества находящихся в устройстве. Рис. 3.5 иллюстрирует это применение. Каждому объекту присваивают определенный адрес (номер). Когда на входы дешифратора поступает двоичный код адреса, соответствующий элемент активизируется за счет появления логического 0 на связанном с ним выходе де-шифратора, а остальные элементы ос-таются заблокированными.

Можно предусмотреть, чтобы с одного из выходов дешифратора на определенный блок поступал управляющий сигнал, когда на входах дешифратора появляется определенный код, соответствующий, например, превышению какого-либо параметра (температуры, напряжения и т.д.), который должен быть приведен к нормальному уровню указанным блоком.

Когда число адресуемых устройств невелико, многие выходы дешифратора остаются неза-действованными. При этом может оказаться целесообразным (в частности, по экономическим соображениям) использовать не микросхему дешифратора, а реализовать ее фрагмент логическими элементами.

На дешифраторе могут быть реализованы логические функции. Пусть, к примеру, y = />3 x2 />1 + />3 x2 x 1 + x3 />2 x 1. Логические переменные подаются на адресные входы дешифратора. Первая конъюнкция (ее вес равен 2) возбуждает выход №2, вторая – выход №3, третья – выход №5. Так как условие y = 1 должно иметь место при наличии любой из этих конъюнкций, то выходы 2, 3 и 5 надо объединить дизъюнкцией.

Шифраторы

Структура шифратора.

Шифратор решает задачу, обратную дешифратору: в частности, на его выходах устанавливается двоичный код, соответствующий десятичному номеру возбужденного информационного входа.

При построении шифратора для получения на выходе натурального двоичного кода учитывают, что единицу в младшем разряде такого кода имеют нечетные десятичные цифры 1, 3, 5, 7,…, т. е. на выходе младшего разряда должна быть 1, если она есть на входе № 1 или на входе № 3 и т. д. Поэтому входы под указанными номерами через элемент ИЛИ соединяются с выходом младшего разряда. Единицу во втором разряде двоичного кода имеют десятичные цифры 2, 3, 6, 7,.. .; входы с этими номерами через элемент ИЛИ должны подключаться к выходу шифратора, на котором устанавливается второй разряд кода. Аналогич-но, входы 4, 5, 6, 7,… через элемент ИЛИ должны быть соединены с выходом, на котором устанавливается третий разряд, так как их коды имеют в этом разряде единицу, и т. д.

Возможно построение схемы шифратора, где E – вход разрешения работы, а Е0– выход, логический 0 на котором свидетельствует о том, что ни один информационный вход не возбужден. Для расширения разрядности (каскадирования) шифраторов вход E последующего шифратора соединяют с выходом E0.предыдущего. Если информационные входы предыдущего шифратора не возбуждены (E0=0), то последующий шифратор получает разрешение работать.

Назначение и применение шифраторов и дешифраторов

Шифратор может быть организован не только для представления (кодирования) десятичного числа двоичным кодом, но и для выдачи определенного кода (его значение заранее выбирается), например, при нажатии клавиши с соответствующим символом. При появлении этого кода система оповещается о том, что нажата определенная клавиша клавиатуры.

Шифраторы применяются в устройствах, преобразующих один вид кода в другой. При этом вначале дешифрируется комбинация исходного кода, в результате чего на соответствующем выходе дешифратора появляется логическая 1. Это отображение входного кода, значение которого определено номером возбужденного выхода дешифратора, подается на шифратор, организованный с таким расчетом, чтобы каждый входной код вызывал появление заданного выходного кода

Одними из очень важных элементов цифровой техники, а особенно в компьютерах и системах управления являются шифраторы и дешифраторы. Когда мы слышим слово шифратор или дешифратор, то в голову приходят фразы из шпионских фильмов. Что- то вроде: расшифруйте депешу и зашифруйте ответ. В этом нет ничего неправильного, так как в шифровальных машинах наших и зарубежных резидентур используются шифраторы и дешифраторы.

Шифраторы.

Таким образом, шифратор (кодер), это электронное устройство, в данном случае микросхема, которая преобразует код одной системы счисления в код другой системы. Наибольшее распространение в электронике получили шифраторы, преобразующие позиционный десятичный код, в параллельный двоичный. Вот так шифратор может обозначаться на принципиальной схеме.

К примеру, представим, что мы держим в руках обыкновенный калькулятор, которым сейчас пользуется любой школьник.

Поскольку все действия в калькуляторе выполняются с двоичными числами (вспомним основы цифровой электроники), то после клавиатуры стоит шифратор, который преобразует вводимые числа в двоичную форму.

Все кнопки калькулятора соединяются с общим проводом и, нажав, к примеру, кнопку 5 на входе шифратора, мы тут же получим двоичную форму данного числа на его выходе.

Конечно же, шифратор калькулятора имеет большее число входов, так как помимо цифр в него нужно ввести ещё какие-то символы арифметических действий, поэтому с выходов шифратора снимаются не только числа в двоичной форме, но и команды.

Если рассмотреть внутреннюю структуру шифратора, то несложно убедиться, что он выполнен на простейших базовых логических элементах.

Во всех устройствах управления, которые работают на двоичной логике, но для удобства оператора имеют десятичную клавиатуру, используются шифраторы.

бразования будет рассмотрен в третьей части учебника.

Вопросы для самоконтроля

Что такое дешифратор?

Как обозначается линейный дешифратор?

Объяснить принцип работы демультиплексора

Что такое шифратор?

Где используют шифраторы?

Что такое мультиплексор?

Дешифраторы позволяют преобразовывать одни виды бинарных кодов в другие. Например, преобразовывать позиционный двоичный код в линейный восьмеричный или шестнадцатеричный. Преобразование производится по правилам, описанным в таблицах истинности, поэтому построение дешифраторов не представляет трудностей. Для построения дешифратора можно воспользоваться правилами .

Десятичный дешифратор

Рассмотрим пример разработки схемы дешифратора из двоичного кода в десятичный. Десятичный код обычно отображается одним битом на одну десятичную цифру. В десятичном коде десять цифр, поэтому для отображения одного десятичного разряда требуется десять выходов дешифратора. Сигнал с этих выводов можно подать на . В простейшем случае над светодиодом можно просто подписать индицируемую цифру.Таблица истинности десятичного дешифратора приведена в таблице 1.

Таблица 1. Таблица истинности десятичного дешифратора.

Входы Выходы
8 4 2 1 0 1 2 3 4 5 6 7 8 9
0 0 0 0 1 0 0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 0
0 0 1 0 0 0 1 0 0 0 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0 0 0
0 1 0 0 0 0 0 0 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0 1 0 0 0 0
0 1 1 0 0 0 0 0 0 0 1 0 0 0
0 1 1 1 0 0 0 0 0 0 0 1 0 0
1 0 0 0 0 0 0 0 0 0 0 0 1 0
1 0 0 1 0 0 0 0 0 0 0 0 0 1

Микросхемы дешифратора на принципиальных схемах приведено на рисунке 2. На этом рисунке приведено обозначение двоично-десятичного дешифратора, полная внутренняя принципиальная схема которого изображена на рисунке 1.


Рисунок 2. Условно-графическое обозначение двоично-десятичного дешифратора

Точно таким же образом можно получить принципиальную схему и для любого другого декодера (дешифратора). Наиболее распространены схемы восьмеричных и шестнадцатеричных дешифраторов. Для индикации такие дешифраторы в настоящее время практически не используются. В основном такие дешифраторы используются как составная часть более сложных цифровых модулей.

Семисегментный дешифратор

Для отображения десятичных и шестнадцатеричных цифр часто используется . Изображение семисегментного индикатора и название его сегментов приведено на рисунке 3.


Рисунок 3. Изображение семисегментного индикатора и название его сегментов

Для изображения на таком индикаторе цифры 0 достаточно зажечь сегменты a, b, c, d, e, f. Для изображения цифры "1" зажигают сегменты b и c. Точно таким же образом можно получить изображения всех остальных десятичных или шестнадцатеричных цифр. Все комбинации таких изображений получили название семисегментного кода.

Составим таблицу истинности дешифратора, который позволит преобразовывать двоичный код в семисегментный. Пусть сегменты зажигаются нулевым потенциалом. Тогда таблица истинности семисегментного дешифратора примет вид, приведенный в таблице 2. Конкретное значение сигналов на выходе дешифратора зависит от к выходу микросхемы. Эти схемы мы рассмотрим позднее, в главе, посвящённой отображению различных видов информации.

Таблица 2. Таблица истинности семисегментного дешифратора

Входы Выходы
8 4 2 1 a b c d e f g
0 0 0 0 0 0 0 0 0 0 1
0 0 0 1 1 0 0 1 1 1 1
0 0 1 0 0 0 1 0 0 1 0
0 0 1 1 0 0 0 0 1 1 0
0 1 0 0 1 0 0 1 1 0 0
0 1 0 1 0 1 0 0 1 0 0
0 1 1 0 0 1 0 0 0 0 0
0 1 1 1 0 0 0 1 1 1 1
1 0 0 0 0 0 0 0 0 0 0
1 0 0 1 0 0 0 0 1 0 0

В соответствии с принципами построения произвольной таблицы истинности по произвольной таблице истинности получим принципиальную схему семисегментного дешифратора, реализующего таблицу истинности, приведённую в таблице 2. На этот раз не будем подробно расписывать процесс разработки схемы. Полученная принципиальная схема семисегментного дешифратора приведена на рисунке 4.

Шифратор решает задачу, обратную дешифратору: в частности, на его выходах устанавливается двоичный код, соответствующий десятичному номеру возбужденного информационного входа.

При построении шифратора для получения на выходе натурального двоичного кода учитывают, что единицу в младшем разряде такого кода имеют нечетные десятичные цифры 1, 3, 5, 7, ..., т. е. на выходе младшего разряда должна быть 1, если она есть на входе № 1 или на входе № 3 и т. д. Поэтому входы под указанными номерами через элемент ИЛИ соединяются с выходом младшего разряда. Единицу во втором разряде двоичного кода имеют десятичные цифры 2, 3, 6, 7, . . .; входы с этими номерами через элемент ИЛИ должны подключаться к выходу шифратора, на котором устанавливается второй разряд кода. Аналогично, входы 4, 5, 6, 7,... через элемент ИЛИ должны быть соединены с выходом, на котором устанавливается третий разряд, так как их коды имеют в этом разряде единицу, и т. д.

Схема шифратора, построенная в соответствии с изложенным принципом, приведена на рис. 3.9,а, а условное изображение - на рис. 3.9, б, где E - вход разрешения работы, а Е 0 - выход, логический 0 на котором свидетельствует о том, что ни один информационный вход не возбужден. Для расширения разрядности (каскадирования) шифраторов вход E последующего шифратора соединяют с выходом E 0 .предыдущего. Если информационные входы предыдущего шифратора не возбуждены (E 0 =0), то последующий шифратор получает разрешение работать.

Применение шифраторов

Шифратор может быть организован не только для представления (кодирования) десятичного числа двоичным кодом, но и для выдачи определенного кода (его значение заранее выбирается), например, при нажатии клавиши с соответствующим символом. При появлении этого кода система оповещается о том, что нажата определенная клавиша клавиатуры.

Шифраторы применяются в устройствах, преобразующих один вид кода в другой. При этом вначале дешифрируется комбинация исходного кода, в результате чего на соответствующем выходе дешифратора появляется логическая 1. Это отображение входного кода, значение которого определено номером возбужденного выхода дешифратора, подается на шифратор, организованный с таким расчетом, чтобы каждый входной код вызывал появление заданного выходного кода.

Логические устройства разделяют на два класса: комбинационные и последовательностные.

Устройство называют комбинационным , если его выходные сигналы в некоторый момент времени однозначно определяются входными сигналами, имеющими место в этот момент времени.

Иначе устройство называют последовательностным или конечным автоматом (цифровым автоматом, автоматом с памятью). В последовательностных устройствах обязательно имеются элементы памяти. Состояние этих элементов зависит от предыстории поступления входных сигналов. Выходные сигналы последовательностных устройств определяются не только сигналами, имеющимися на входах в данный момент времени, но и состоянием элементов памяти. Таким образом, реакция последовательностного устройства на определенные входные сигналы зависит от предыстории его работы.

Среди как комбинационных, так и последовательностных устройств выделяются типовые, наиболее широко используемые на практике.

Шифраторы

Шифратор - это комбинационное устройство, преобразующее десятичные числа в двоичную систему счисления, причем каждому входу может быть поставлено в соответствие десятичное число, а набор выходных логических сигналов соответствует определенному двоичному коду. Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа.

Если количество входов настолько велико, что в шифраторе используются все возможные комбинации сигналов на выходе, то такой шифратор называется полным, если не все, то неполным. Число входов и выходов в полном шифраторе связано соотношением n= 2 m , где n- число входов, m- число выходов.

Так, для преобразования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет равно 16 (n = 2 4 = 16), поэтому шифратор 10×4 (из 10 в 4) будет неполным.

Рассмотрим пример построения шифратора для преобразования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагается, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход. Условное обозначение такого шифратора и таблица соответствия кода приведены на рис. 3.35.

Используя данную таблицу соответствия, запишем логические выражения, включая в логическую сумму те входные переменные, которые соответствуют единице некоторой выходной пере­менной. Так, на выходе у 1 будет логическая «1» тогда, когда логическая «1» будет или на входе Х 1 ,или Х 3 , или Х 5 , или Х 7 , или X 9 , т. е. у 1 = Х 1 + Х 3 + Х 5 + Х 7 +X 9

Аналогично получаем у 2 = Х 2 + Х 3 + Х 6 + X 7 у 3 = Х 4 + Х 5 + Х 6 + Х 7 у 4 = Х 8 + X 9

Представим на рис. 3.36 схему такого шифратора, используя элементы ИЛИ.
На практике часто используют шифратор с приоритетом. В таких шифраторах код двоичного числа соответствует наивысшему номеру входа, на который подан сигнал «1», т. е. на приоритетный шифратор допускается подавать сигналы на несколько входов, а он выставляет на выходе код числа, соответствующего старшему входу.

Рассмотрим в качестве примера (рис. 3.37) шифратор с приоритетом (приоритетный шифратор) К555ИВЗ серии микросхем К555 (ТТЛШ).

Шифратор имеет 9 инверсных входов, обозначенных через PR l , …, PR 9 . Аббревиатура PR обозначает «приоритет». Шифратор имеет четыре инверсных выхода B l , …, B 8 . Аббревиатура B означает «шина» (от англ. bus). Цифры определяют значение активного уровня (нуля) в соответствующем разряде двоичного числа. Например, B 8 обозначает, что ноль на этом выходе соответствует числу 8. Очевидно, что это неполный шифратор.

Если на всех входах - логическая единица, то на всех выходах также логическая единица, что соответствует числу 0 в так называемом инверсном коде (1111). Если хотя бы на одном входе имеется логический ноль, то состояние выходных сигналов определяется наибольшим номером входа, на котором имеется логический ноль, и не зависит от сигналов на входах, имеющих меньший номер.

Например, если на входе PR 1 - логический ноль, а на всех остальных входах - логическая единица, то на выходах имеются следующие сигналы: В 1 − 0, В 2 − 1, В 4 − 1, В 8 − 1, что соответствует числу 1 в инверсном коде (1110).

Если на входе PR 9 логический ноль, то независимо от других входных сигналов на выходах имеются следующие сигналы: В 1 − 0 , В 2 − 1 , В 4 − 1, В 8 − 0, что соответствует числу 9 в инверсном коде (0110).

Основное назначение шифратора - преобразование номера источника сигнала в код (например, номера нажатой кнопки некоторой клавиатуры).


Дешифраторы

Называется комбинационное устройство , преобразующее n-разрядный двоичный код в логический сигнал, появляющийся на том выходе, десятичный номер которого соответствует двоичному коду. Число входов и выходов в так называемом полном дешифраторе связано соотношением m= 2 n , где n- число входов, а m- число выходов. Если в работе дешифратора используется неполное число выходов, то такой дешифратор называется неполным. Так, например, дешифратор, имеющий 4 входа и 16 выходов, будет полным, а если бы выходов было только 10, то он являлся бы неполным.

Обратимся для примера к дешифратору К555ИД6 серии К555 (рис. 3.38).


Дешифратор имеет 4 прямых входа, обозначенных через А 1 , …, А 8 . Аббревиатура A обозначает «адрес» (от англ.address). Указанные входы называют адресными. Цифры определяют значения активного уровня (единицы) в соответствующем разряде двоичного числа. Дешифратор имеет 10 инверсных выходов Y 0 , …, Y 9 . Цифры определяют десятичное число, соответствующее заданному двоичному числу на входах. Очевидно, что этот дешифратор неполный.

Значение активного уровня (нуля) имеет тот выход, номер которого равен десятичному числу, определяемому двоичным числом на входе. Например, если на всех входах - логические нули, то на выходе Y 0 - логический ноль, а на остальных выходах - логическая единица. Если на входе А 2 - логическая единица, а на остальных входах - логический ноль, то на выходе Y 2 - логический ноль, а на остальных выходах - логическая единица. Если на входе - двоичное число, превышающее 9 (например, на всех входах единицы, что соответствует двоичному числу 1111 и десятичному числу 15), то на всех выходах - логическая единица.

Дешифратор - одно из широко используемых логических устройств. Его применяют для построения различных комбинационных устройств.

Рассмотренные шифраторы и дешифраторы являются примерами простейших преобразователей кодов.

Преобразователи кодов

В общем случае, называют устройства, предназначенные для преобразования одного кода в другой, при этом часто они выполняют нестандартные преобразования кодов. Преобразователи кодов обозначают через X/Y.

Рассмотрим особенности реализации преобразователя на примере преобразователя трехэлементного кода в пятиэлементный. Допустим, что необходимо реализовать таблицу соответствия кодов, приведенную на рис. 3.39.



Здесь через N обозначено десятичное число, соответствующее входному двоичному коду. Преобразователи кодов часто создают по схеме дешифратор - шифратор. Дешифратор преобразует входной код в некоторое десятичное число, а затем шифратор формирует выходной код. Схема преобразователя, созданного по такому принципу, приведена на рис. 3.40, где использован матричный диодный шифратор. Принцип работы такого преобразователя довольно прост. Например, когда на всех входах дешифратора логический «О», то на его выходе 0 появляется логическая «1», что приводит к появлению «1» на выходах у 4 и у 5 , т. е. реализуется первая строка таблицы соответствия кодов.


Промышленность выпускает большое число шифраторов, дешифраторов и преобразователей кодов, таких как дешифратор 4×16 со стробированием (К555ИДЗ), преобразователь кода для управления светодиодной матрицей 7×5 (К155ИД8), преобразователь кода для управления шкальным индикатором (К155ИД15) и др.

При конструировании систем дистанционного управления, особенно у начинающих радиолюбителей, часто возникает вопрос: какой способ кодирования информации управления выбрать? Самые распространенные способы кодирования информации: число-импульсный и частотно-импульсный. В первом случае команда передается определенным количеством импульсов, которые "обрабатываются" дешифратором и распределяются по каналам исполнительных механизмов . Обычно такие системы кодирования выполняют полностью на микросхемах. Они многокомандные (от 4 до 16 команд). Настроить такую аппаратуру начинающему радиолюбителю трудно. А самое главное, при современной насыщенности диапазона 27-30 МГц аппаратура становится помехонезащищенной, особенно если она собрана по КМОП-технологии.

При частотно-импульсном способе кодирования каждая команда передается определенными частотами, отличающимися друг от друга. При частотно-импульсном кодировании аппаратура более устойчива к помехам. Основным недостатком аппаратуры является ограниченное число команд из-за сложности дешифратора. В дешифраторе используют LC-фильтры. Изготовить и настроить эти фильтры без определенных навыков и оборудования невозможно, это усложняет схему.

Предлагаю читателям несложную аппаратуру дистанционного управления. Она построена по принципу частотно-импульсного кодирования, собрана на микросхемах. Отличительными особенностями являются ее помехоустойчивость и отсутствие ЬС-фильтров в дешифраторе, что делает аппаратуру простой в наладке.

Шифратор (рис.1) собран на четырех микросхемах серии К555. На микросхеме DD1 собран задающий генератор частоты на 1 МГц, стабилизированный кварцевым резонатором ZQ1. На микросхемах DD2, DD3, DD4 собраны делители частоты . Не совсем стандартное включение микросхем выбрано для удобства монтажа и не несет никаких функциональных изменений. Импульсы с частотой следования 1 МГц поступают на вход С2 счетчика DD2 (выв.1).

Счетчик выполняет роль делителя частоты на 16. На выводе 12 DD2 появляется сигнал с частотой 62,500 Гц, который поступает на счетчики DD3 и DD4. На выводе 12 DD3 появляются импульсы с частотой 3906,25 Гц, которые формируют команду 5. На выводах 9, 8, 11, 12 DD4 появляются частоты 1953,125 Гц, 976,5525 Гц, 488,28125 Гц и соответственно образуют команды 3, 4, 1, 2, которые через переключатели S1...S4 подаются на модулятор передатчика при нажатии на одну из кнопок. В отжатом состоянии на модулятор подается команда 5, не несущая информации, так называемая команда сброса.

Для питания схемы использован стабилизатор DA1, так как передатчик обычно питается напряжением +9...12 В. Светодиод н1 служит индикатором включения схемы. Диод VD1 защищает схему от переполюсовки напряжения питания, С2, С3 - помехозащищающие конденсаторы.

Детали.

Резисторы типа МЛТ-0,125, МЛТ-0,25. Конденсаторы типа КМ, микросхемы К555 можно заменить на микросхемы К155, но при этом ток потребления схемы увеличивается. Схема собрана на плате из текстолита размером 75х60 мм. На рис.2 приведена печатная плата шифратора.

Наладка. Правильно собранная схема наладки не требует. 0сциллографом и частомером проверяют наличие сигналов в контрольных точках схемы (рис.1): вывод 12 DD2, вывод 12 DD3, вывод 9, 8, 11, 12 DD4. Резисторы R1, R3 определяют стабильную работу задающего генератора. Это зависит от типа кварцевого резонатора.

Дешифратор (рис.3) собран на четырех микросхемах типа К555 и четырех транзисторах VT1 - VT4. Устройство имеет четыре идентичных канала. Рассмотрим работу одного из них. На элементе DD1.1 собран формирователь импульса, который формируется по переднему фронту входного импульса . На элементе DD2.1 собран узел сравнения. Входной импульс поступает на вход В1 (выв.10) микросхемы DD1 и на вывод 12 элемента DD2.1. По переднему фронту входного импульса одновибратора DD1.1 формируется импульс, длительность которого зависит от элементов R3, С1. Номиналы резисторов R3...R10 и конденсаторов С1...С4 подобраны таким образом, чтобы длительность команд шифратора совпадала с длительностью вырабатываемых импульсов одновибраторов дешифратора. На рис.4,а приведена диаграмма работы дешифратора при отсутствии команды.

При поступлении команды 1 на вход дешифратора (рис.4,б) на выводе 5 DD1.1 и на выводе 12 DD2.1 появляются импульсы одинаковой длительности. На выводе 11 DD2.1 при этом будет лог."0", транзистор VT1 закрыт, на выводе 8 DD3.1 - уровень лог."0". При поступлении на вход дешифратора любой другой команды на выводе 5 DD1.1 будут импульсы с постоянной длительностью, на выводе 12 DD2.1 будут импульсы с длительностью, отличной от длительности импульсов одновибратора DD1.1. На выводе 11 DD2.1 появляются импульсы, которые через выпрямитель с удвоением напряжения, С5, С6, VD1, VD2 открывают транзистор VT1, на выводе 8 DD3.1 появляется уровень лог.Т. Емкость конденсаторов С5 и С6 подобрана таким образом, чтобы при изменении длительности импульсов в пределах частот поступающих команд на базу транзисторов VT1 - VT4 поступало выпрямленное напряжение, не влияющее на работу элементов DD3.1 - DD3.4. Аналогично работают и остальные каналы дешифратора, настроенные на длительности соответствующих команд резисторами R3...R10 и конденсаторами С1 ...С4. При отсутствии команды управления на вход дешифратора поступает команда сброса, формирующая единицы на выходах DD3.1...DD3.4. При поступлении помех происходит то же самое, что и при поступлении команды сброса. Благодаря применению в дешифраторе вышеописанного способа, пропускная способность фильтров команд составляет от ±20 Гц (команда 1) до ±240 Гц (команда 4), а частоты команд в ЬС-фильтрах - от нескольких сотен герц и выше (в зависимости от типа схемы), что является еще одним поме-хозащищающим фактором.

Детали. Резисторы типа МЛТ-0,125, МЛТ-0,25; подст-роечные резисторы типа СП5-1, СП5-2, СП5-3; конденсаторы С1-С3 типа КМ с наименьшим ТКЕ; диоды - КД503, КД509; транзисторы КТ315 с любым буквенным индексом.

Микросхемы серий К555 можно заменить на К155, К1533, микросхему К555ТЛ3 - на К135ЛА3, но при этом ухудшается "крутизна" фронтов импульса команды. Электролитические конденсаторы импортного производства. Схема собрана на плате из стеклотекстолита размером 75х60 мм (рис.5).

Наладка. Правильно собранная схема начинает работать сразу. После проверки правильности монтажа подключают настроенный шифратор к дешифратору. Подавая по очереди команды резисторами r4, R6, R8, R10, настраивают каналы дешифратора. Включение светодиодов Н1-Н2 облегчает процедуру наладки. При срабатывании команды соответствующий светодиод светится. Далее осциллографом контролируют импульсы соответствующего канала. Для первого канала на выводах 12, 13 DD2.1 длительности импульсов должны быть одинаковые, при этом на выводе 11 DD2.1 должен быть уровень лог."0". При необходимости более точно настройку повторяют резистором R4 и конденсатором С1. Остальные каналы настраивают идентично.

Литература:

1. Проскурин А. А. Модульная аппаратура радиоуправле-ния.М.: ДОСААФ СССР, 1988.

2. Шило В. Л. Популярные цифровые микросхемы.-М.:Радио и связь,1989.

3. Миль Г. Модели с дистанционным управлением.-Л.: Судо-строение,1984.

Что еще почитать